Aldec Active-HDLシミュレーター

Category: Software Extensions
Version: 1.0.0.30028
Aldec OEMシミュレータは、Altium Designerの統一環境に業界最先端のVHDLおよびVerilogシミュレーション機能を導入して、Altium DesignerのFPGA設計機能を強化します。
Altium DesignerとAldecのVHDLおよびVerilogシミュレーターを使用した設計機能をお確かめください。